ARM’s Neoverse N2: Cortex A710 for Servers (chipsandcheese.com)
from tedu@azorius.net to tech@azorius.net on 19 Aug 2023 03:10
https://azorius.net/g/tech/p/Gcq4sJzsSJ8914L7gl-ARMs-Neoverse-N2-Cortex-A710-for-Servers

We’re going to briefly evaluate the basic A710/Neoverse N2 core, and compare it with Zen 4. AMD’s Zen 4 is an interesting comparison because it has a density-focused variant (Bergamo) that trades L3 cache capacity and clock speeds to reduce area usage. Intel’s Sapphire Rapids is also a notable competitor, but focuses more on per-core performance than density. Then, we’ll pivot to the differences between A710 and N2. Most differences relate to the all-important cache hierarchy, though there are a few minor core changes.

#cpu #tech

threaded - newest